Joint Opening & Plenary

Tuesday, June 14th

From System-on-Chip (SOC) to System on Multichip (SoMC) Architectures: Scaling integrated systems beyond the limitations of deep-submicron single chip technologies

The mobile wireless revolution has relied on IP integration platforms and processes that allows rapid innovation and integration of new IP such as 5G, while achieving low power and low cost by quickly leveraging new technology nodes. Complex systems have been integrated in SoCs and enhanced every year as technology shrinks. However current trends in SOCs for diverse markets like mobile, compute, automotive and AI servers will lead to impractical die sizes due to diminishing percentage area shrinkage with future deep sub-micron technology nodes. Partitioning the SoC in to multiple die (also called Chiplets) in a multichip configuration may help, but this also brings new challenges in architecture design, thermal, power distribution network and chip implementation. These challenges are highlighted in this talk.

Christopher Patrick
Qualcomm, SVP & GM, Mobile Handset

Holistic patterning to advance semiconductor manufacturing for the 2020s and beyond

The convergence of 5G, artificial intelligence and billions of connected devices will start a new wave of innovation, bringing advanced computing power to massive amounts of data. The key enabler continues to be affordable scaling, driven by advanced lithography, computational capabilities, fast metrology and inspection. In this presentation, we will look at the key developments across ASML’s holistic product portfolio: the EUV roadmap with its 0.33 NA (low-NA) platform and the next-generation 0.55 NA (high-NA) platform, the DUV roadmap with its cutting-edge immersion lithography and cost-efficient mature systems, and the key innovations across our optical metrology and e-beam inspection portfolio.

Martin van den Brink,
ASML, President and CTO

The Rise of Memory in the Ever-Changing AI Era – From Memory to More-Than-Memory 

For last two years since the onset of the Covid19 pandemic, we have become more and more reliant on virtual connectivity with the help of semiconductor technologies, due to  the increase in  physical distancing in our lives.  Now most of our daily routines are virtually connected to the world through countless devices.  As a  result, all these devices have been generating an  unprecedented amount of data. In consequence, AI applications have been intimately tapping into our daily activities such as mobility, education, shopping, and more.  However, at the same time, we as humans also have longed for personalized and secure AI applications using the small data generated from our own experience.  To satisfy these complex and diverse needs for new AI applications, it is evident that semiconductor technologies not only have to meet historically unprecedented scaling demands, but also have to generate additional value propositions for newly emerging AI hardware.

In particular, innovation in the field of semiconductor memory has provided one of the key solutions to address the challenges of ever-changing, data-driven computing.  It is no longer only important that memory technologies deliver their traditional metrics such as high performance, lower power, lower cost, and higher capacity.  They also have to deliver smarter and more functionality in or near memory to minimize data movement.  SK Hynix has embraced these challenges in collaboration with our customers and partners. 

In this talk, we will share our vision of memory innovation.  First, we begin the journey with the path of memory extension where current memory values can be pushed further than where we have ever been before, and then ultimately leading to the path to memory-centric transformation.

The path of memory extension starts with the backbone of SK Hynix’s memory roadmap to defy the scaling limits of DRAM and NAND technologies with innovative materials, processes, structures, and products. Along with that, new memory product solutions enabled by new interfaces such as Compute Express Link (CXL) will be introduced to enhance the current value proposition of memory technologies. Memory-centric transformation has just begun with process-in-memory (PIM), which offloads a portion of computation to memory to reduce the bottleneck of the data transfer between memory and processors. But it has been apparent that this is just the beginning. We believe that memory-centric transformation will evolve by bringing memory and logic closer together with advanced packaging techniques in order to create optimum system performance. 

Finally, this journey will merge into the path for Beyond Memory by breaking down the boundaries between compute and memory. While we have been razor-focused on this journey all along, SK Hynix will relentlessly continue the current endeavors to develop technology solutions to reduce carbon emissions  every step of the way towards a better and sustainable AI era.  Last but not least, SK Hynix truly believes that the journey to Beyond Memory would be only possible when the semiconductor industry as a whole embraces open innovation to create a better and more sustainable world.

Dr. Seok-Hee Lee
Solidigm, Executive Chairman

Semiconductor Innovations, from Device to System

This year marks the 75th anniversary since the invention of the transistor and hence the beginning of the semiconductor industry that has had profound impact on the world and society at large. Technology scaling has been the key driving force behind the numerous innovations that usher in the Information Age. As the fast-expanding new applications in 5G, AI, ADAS, AR/VR and robotics continue to propel the demand for data-centric products and services, future generations of semiconductor technology will require innovations across the entire stack – from material and device to design infrastructure, architecture and system. In this paper, we will share our perspective of the applications trends and technology advancements that have brought us thus far. We will also outline key innovations that could lay the foundation for future logic technologies. We will conclude the presentation of our vision with 3D stacking technologies to extend scaling beyond existing frontier into the era of system integration, thus enabling a roadmap of energy-efficient compute to continue into the foreseeable future.

Dr. Y.J. Mii
Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC), Senior Vice President of Research and Development (R&D)