Short Course 1

* If you encounter menus do not work upon clicking, delete your browser's cache.

Monday, June 10, 2019 (Shunju II, III)

CMOS Technology Enablers for Pushing the Limits of Semiconductors:Materials to Packaging

Organizers: 
Munehiro Tada, NEC Corp.
Nirmal Ramaswamy, Micron Technology Inc.

This short course highlights the key technologies that will push semiconductor performance forward through materials, advanced devices, design, materials and packaging.

8:25 Introduction
8:30 Breaking the Limitations of FinFET Scaling, Mark Y. Liu and C. E. Weber, Intel Corp

Abstract:
This short course will give an overview of key limitations of FinFET scaling and process options to break these limitations and their challenges. We will first review the fundamental benefit of FinFET and the optimal fin geometry to maximize the benefit, including the pros and cons of fin aspect ratio and fin pitch. We will then evaluate the interaction of the gate pitch scaling and related downstream process flow, and how to optimize source/drain, contact and metal gate. To continue the scaling trend, we will list the potential enabling new materials and process capabilities and discuss their readiness for insertion into the mainstream technology nodes. Lastly, we will discuss some potential FinFET replacement options, such as gate-all-around transistors.

9:20 Emerging Interconnect Technologies for Nanoelectronics, Krishna Saraswat, Stanford Univ.

Abstract:
While dimension scaling, introduction of new materials and novel device structures has enhanced the transistor performance, the opposite is true for the copper interconnects that link these transistors. Looking into the future the relentless scaling paradigm is threatened by the limits of copper/low-k interconnects, including excessive power dissipation, insufficient communication bandwidth, and signal latency. Many of these obstacles stem from the physical limitations of copper/low-k electrical wires, namely the increase in copper resistivity, as wire dimensions and grain size become comparable to the bulk mean free path of electrons in copper and the dielectric capacitance. Thus, it is imperative to examine alternate interconnect schemes and explore possible advantages of novel potential candidates. This talk will address effects of scaling on the performance of Cu/low-k interconnects, alternate interconnect schemes: carbon nanotubes (CNT), graphene, optical interconnect, three-dimensional (3-D) integration and heterogeneous integration of these technologies on the silicon platform. Performance comparison of these technologies with Cu/low-k interconnects will be discussed.

10:15 Break
10:40 Advanced Process Technologies Required for Future Scaling and Devices, Robert Clark, TEL Technology Center

Abstract:
This is an overview on new processing technologies required for continued scaling of leading edge and emerging semiconductor devices. The main drivers and trends affecting future semiconductor device scaling will be introduced in order to explain how these factors are influencing and driving process technology development. Process technologies currently under development or consideration for future device nodes will then be introduced with a focus on how they will be used for integrating future VLSI circuits and devices. The topics and technologies explored in this presentation include atomic layer deposition (ALD), atomic layer etching (ALE), selective deposition and etching, advanced surface preparation, EUV lithography, and self-aligned and multiple patterning schemes among others. A key point of this presentation will be to impart an understanding to attendees of how these advanced process technologies can be leveraged holistically to deliver power, performance, area and cost (PPAC) scaling for future VSLI devices. Real world examples of current and future integration schemes will be presented and explained so that attendees can understand how advanced process technologies will be used in future device manufacturing as well as what benefits and tradeoffs will be entailed in their use.

11:30 DTCO in 2019: The Precious Metal Stack and the Route to Better Designs, Brian Cline and D. Prasad, Arm Ltd.

Abstract:
Technology and design scaling at process nodes <20nm is becoming more challenging with every subsequent node. To overcome scaling roadblocks and maximize the performance benefits of scaling, circuit designers and process technologists work together through a process commonly known as Design-Technology Co-Optimization (DTCO). When DTCO first became necessary and popular, the MOSFET device dominated the cost and performance equations. However, in the era of multiple patterning and EUV lithography, the importance of the metal stack is quickly rising. This new paradigm has changed the face of DTCO and impacted the way we design integrated circuits.
This talk will discuss the evolution of DTCO from a device-centric regime to an interconnect-centric regime. It will introduce the current DTCO paradigm and its drivers, and then cover the resulting design implications in order of increasing complexity: from standard cells and bitcells to microprocessors and multi-core systems. Finally, the talk will conclude with a glimpse into the future and a prediction of where DTCO will go in years to come.

12:20 Lunch
13:10 3D Integration for More-Moore and More-than-Moore, Chih Hang, TSMC

Abstract:
The role of 3D integration and packaging in semiconductor industry has transformed in recent years. Driven by markets diversification and front-end scaling challenges, 3D integration and packaging enable system scaling to fill the gaps left by system on chip (SoC) and system in package (SiP) and by More Moore (MM) and More than Moore (MtM). This short course covers the concepts behind this change. Starting from a brief introduction of 3D IC Packaging Trends, Stack Chips by Wire Bonding, PoP & CoC Interconnects, WLP, 3D IC Integration, High Bandwidth Memory (HBM), 2.5D IC Integration/Interposer, Supply Chains & business models for 2.5D/3D IC Integration, FOWLP & FOPLP, Thermal management and finally the new technology needed for the new role played by packaging, and the prospect on how the new system integration technology will help sustaining semiconductor industry’s future growth.

14:00 14:00 Recent STT-MRAM Technology: From Lab to Fab, Yoon Jong Song, Samsung Electronics Co., Ltd.

Abstract:
In recent decades, STT-MRAM (spin-transfer torque magnetic RAM) has gained great attention due to its ideal memory properties such as non-volatility, fast write speed, high endurance, and strong retention. In particular, embedded MRAM technology is rapidly being developed for the commercialization. This short course will focus on overall MRAM technologies from principles to applications. We will talk about the key merits of STT-MRAM technology compared to other embedded non-volatile memories, and also will discuss basic concepts and operating mechanism of STT-MRAM in terms of device read and write operation. It is followed by giving explanation of its key technologies such as integration process, circuit design and electrical characterization. It will review the correlation between the technology and device characteristics, since it is important to understand the impact and influence of key technologies on the device performance. Finally, this talk will end with open discussion about technical challenges and hurdles such as small sensing margin, relative large switching current, trade-off between retention and switching current, and patterning difficulty.

14:50 Break
15:10 Emerging Logic Devices for Future Computing, S. Salahuddin, Univ. of California, Berkeley

Abstract:
Power constraint of computing hardware has become a critical challenge for continued advancement of electronics. Power requirements ultimately limit bandwidth and thus the amount of data that can be processed. Recognizing this, a number of alternative logic switches has been investigated in the last decade. Some of them are functionally equivalent to today’s transistors, and could be a drop-in replacement, such as the Tunnel Field Effect Transistor (TFET) and Negative Capacitance Field Effect Transistor (NCFET), while some others are completely different, such as the Spin based switches. In addition, alternate channel materials such as two-dimensional semiconductors have attracted a lot of attention. In this presentation, I shall briefly summarize the main motivations for these devices in terms of their principle of operation. All these devices pose the challenge of introducing new materials in the CMOS production line. Compatibility with large scale integration might ultimately decide their eventual adoption.

16:00 Overview in Three-dimensionally Arrayed Flash Memory Technology, Ryota Katsumata, Toshiba Memory Corp.

Abstract:
In the last decade, flash memory array has been most aggressively scaled down in the semiconductor industry because NAND architecture is very simple concept for its basic functionality and pattern layout. It was NAND architecture that double patterning, quadro patterning, multi-level cell and three-dimensionally arrayed memory cell were implemented for the manufacturing technology relatively earlier than other device architecture. In this tutorial, history of three-dimensionally arrayed flash memory technology is introduced and its basic device concept and process technology are explained. Finally the device and process technology challenges are discussed for the future three-dimensionally arrayed flash memory.